From: ThomasV Date: Tue, 27 Mar 2012 05:55:18 +0000 (+0400) Subject: fix X-Git-Url: https://git.novaco.in/?p=electrum-server.git;a=commitdiff_plain;h=ea96440732a78324f92dc6ec4ad6dcabefbe0a16 fix --- diff --git a/stratum.py b/stratum.py index ffc3d1f..f89fafd 100644 --- a/stratum.py +++ b/stratum.py @@ -74,7 +74,7 @@ class Processor(threading.Thread): status = self.get_status( addr ) message_id, last_status = m if status != last_status: - session.subscribe_to_address(message_id, status) + session.subscribe_to_address(addr,message_id, status) response = { 'id':message_id, 'result':status } self.push_response(session,response)